2016 - 2024

感恩一路有你

触发器实例(什么是触发器及简单例子?)

浏览量:4289 时间:2022-12-13 21:50:25 作者:

触发器实例(什么是触发器及简单例子?)

什么叫触发器及简易事例?

界定: 什么是触发器?在SQL Server里也便是对某一个表中一定操作,开启某类标准,进而实行的一段程序流程。触发器是一个特殊sql语句。

比较常见的触发器有三种:各自用于Insert , Update , Delete 事情。(SQL Server 2000界定了一个新的触发器,这儿不提)

d触发器的应用实例?

在某个系统内,前级数据输入位宽为8位,而后级的数据输出位宽为32,我们应该将8bit数据交换为32bit,因为后级的处理方法位宽为前级的4倍,因而后级处理时钟工作频率都将降低为前级的1/4,若不能使用时钟使能,则要把前级的时钟开展4分频当作后级处理时钟。这样的设计方式会引进一个新的时钟域,解决上要采用多时钟域解决的形式,因此在规划复杂性提升的前提下全面的稳定性都将减少。为了防止这些问题,大家使用了时钟使能从而减少设计方案复杂性。

例1:选用时钟使能

module clk_en(clk, rst_n, data_in, data_out)

input clk

input rst_n

input [7:0] data_in

output [31:0] data_out

reg [31:0] data_out

reg [31:0] data_shift

reg [1:0] cnt

reg clken

always @(posedge clk or negedge rst_n)

begin

if (!rst_n)

cnt lt= 0

else

cnt lt= cnt 1

end

always @(posedge clk or negedge rst_n)

begin

if (!rst_n)

clken lt= 0

else if (cnt == 2#39b01)

clken lt= 1

else

clken lt= 0

end

always @(posedge clk or negedge rst_n)

begin

if (!rst_n)

data_shift lt= 0

else

data_shift lt= {data_shift[23:0],data_in}

end

always @(posedge clk or negedge rst_n)

begin

if (!rst_n)

data_out lt= 0

else if (clken == 1#39b1)

data_out lt= data_shift

end

endmodule

时钟 触发器 位宽 前级 后级 使能 复杂性 表中 界定 是一个

版权声明:本文内容由互联网用户自发贡献,本站不承担相关法律责任.如有侵权/违法内容,本站将立刻删除。